A wire delay-tolerant reconfigurable unit for a clustered programmable-reconfigurable processor

نویسندگان

  • Richard B. Kujoth
  • Chi-Wei Wang
  • Jeffrey J. Cook
  • Derek B. Gottlieb
  • Nicholas P. Carter
چکیده

Wire delay is rapidly becoming a major bottleneck in reconfigurable systems, creating a significant gap between the clock rates of reconfigurable logic and custom circuits. In this paper, we describe the design of the reconfigurable clusters on the Amalgam clustered programmable-reconfigurable processor. Amalgam’s reconfigurable clusters are divided into four segments of reconfigurable logic, limiting the length of individual wires in the cluster. They support pipelining of wire delays by providing pipeline registers at the intersections between wires in the reconfigurable cluster, retiming buffers at the inputs and outputs of logic blocks, and register queues that reduce the amount of inter-cluster synchronization required in programs. Together, these mechanisms increase the clock rates of Amalgam’s reconfigurable clusters by up to 70%, allowing Amalgam to maintain a 2.6x performance advantage over a purely-programmable processor in a wide range of fabrication processes.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Clustered programmable-reconfigurable processors

In order to pose a successful challenge to conventional processor architectures, reconfigurable computing systems must achieve significantly better performance than conventional programmable processors by both greatly reducing the number of clock cycles required to execute a wide range of applications and achieving high clock rates when implemented in deep-submicron fabrication technologies. In...

متن کامل

Mapping Algorithms to the Amalgam Programmable-Reconfigurable Processor

The Amalgam programmable-reconfigurable processor is designed to provide the computational power required by upcoming embedded applications without requiring the design of application-specific hardware. It integrates multiple programmable processors and blocks of reconfigurable logic onto a single chip, using a clustered architecture, similar to the one used on the M-Machine [1] to reduce wire ...

متن کامل

Editorial FPGA - based reconfigurable computing II

This ‘‘Special Issue on FPGA-based Reconfigurable Computing’’ presents a collection of high-quality papers from the FPGA research community. The 23 accepted papers were selected from the 74 submissions received from 14 countries. These 23 accepted papers are arranged to be published in three issues. In the first issue of the threeissue series, we have published 8 papers in Volume 30, Issue 6, 2...

متن کامل

Mapping computation kernels to clustered programmable-reconfigurable processors

Reconfigurable computing systems have shown the potential to surpass conventional processor architectures in performance for a growing range of applications. That performance, however, must be attained without significantly changing the design effort on the programmer’s part, and without drastically increasing compilation time. In this paper, we present our compiler framework for mapping comput...

متن کامل

System-level performance evaluation of reconfigurable processors

Reconfigurable architectures that tightly integrate a standard CPU core with a field-programmable hardware structure have recently been receiving increased attention. The design of such a hybrid reconfigurable processor involves a multitude of design decisions regarding the field-programmable structure as well as its system integration with the CPU core. Determining the impact of these design d...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:
  • Microprocessors and Microsystems

دوره 31  شماره 

صفحات  -

تاریخ انتشار 2007